diff --git a/cfg/ports.xdc b/cfg/ports.xdc index 00f162af7..3c4056871 100644 --- a/cfg/ports.xdc +++ b/cfg/ports.xdc @@ -150,8 +150,6 @@ set_property SLEW FAST [get_ports {exp_p_tri_io[*]}] set_property SLEW FAST [get_ports {exp_n_tri_io[*]}] set_property DRIVE 8 [get_ports {exp_p_tri_io[*]}] set_property DRIVE 8 [get_ports {exp_n_tri_io[*]}] -# set_property PULLTYPE PULLUP [get_ports {exp_p_tri_io[*]}] -set_property PULLTYPE PULLUP [get_ports {exp_n_tri_io[*]}] set_property PACKAGE_PIN G17 [get_ports {exp_p_tri_io[0]}] set_property PACKAGE_PIN G18 [get_ports {exp_n_tri_io[0]}] @@ -170,21 +168,6 @@ set_property PACKAGE_PIN J16 [get_ports {exp_n_tri_io[6]}] set_property PACKAGE_PIN M14 [get_ports {exp_p_tri_io[7]}] set_property PACKAGE_PIN M15 [get_ports {exp_n_tri_io[7]}] -set_property IOSTANDARD LVCMOS33 [get_ports exp_p_trg] -set_property SLEW FAST [get_ports exp_p_trg] -set_property DRIVE 8 [get_ports exp_p_trg] - -set_property PACKAGE_PIN M14 [get_ports exp_p_trg] - -set_property IOSTANDARD LVCMOS33 [get_ports {exp_n_alex[*]}] -set_property SLEW FAST [get_ports {exp_n_alex[*]}] -set_property DRIVE 8 [get_ports {exp_n_alex[*]}] - -set_property PACKAGE_PIN L15 [get_ports {exp_n_alex[0]}] -set_property PACKAGE_PIN L17 [get_ports {exp_n_alex[1]}] -set_property PACKAGE_PIN J16 [get_ports {exp_n_alex[2]}] -set_property PACKAGE_PIN M15 [get_ports {exp_n_alex[3]}] - ### SATA connector set_property IOSTANDARD DIFF_HSTL_I_18 [get_ports daisy_p_o[*]] diff --git a/projects/radar_no_filter/ports.xdc b/projects/radar_no_filter/ports.xdc new file mode 100644 index 000000000..5f7acf4fb --- /dev/null +++ b/projects/radar_no_filter/ports.xdc @@ -0,0 +1,5 @@ +set_property IOSTANDARD LVCMOS33 [get_ports exp_p_trg] +set_property SLEW FAST [get_ports exp_p_trg] +set_property DRIVE 8 [get_ports exp_p_trg] + +set_property PACKAGE_PIN M14 [get_ports exp_p_trg] diff --git a/projects/radar_test/ports.xdc b/projects/radar_test/ports.xdc new file mode 100644 index 000000000..5f7acf4fb --- /dev/null +++ b/projects/radar_test/ports.xdc @@ -0,0 +1,5 @@ +set_property IOSTANDARD LVCMOS33 [get_ports exp_p_trg] +set_property SLEW FAST [get_ports exp_p_trg] +set_property DRIVE 8 [get_ports exp_p_trg] + +set_property PACKAGE_PIN M14 [get_ports exp_p_trg] diff --git a/projects/sdr_transceiver_hpsdr/ports.xdc b/projects/sdr_transceiver_hpsdr/ports.xdc new file mode 100644 index 000000000..e1558b6bd --- /dev/null +++ b/projects/sdr_transceiver_hpsdr/ports.xdc @@ -0,0 +1,13 @@ +set_property PULLTYPE PULLUP [get_ports {exp_n_tri_io[0]}] +set_property PULLTYPE PULLUP [get_ports {exp_n_tri_io[1]}] +set_property PULLTYPE PULLUP [get_ports {exp_n_tri_io[2]}] +set_property PULLTYPE PULLUP [get_ports {exp_n_tri_io[3]}] + +set_property IOSTANDARD LVCMOS33 [get_ports {exp_n_alex[*]}] +set_property SLEW FAST [get_ports {exp_n_alex[*]}] +set_property DRIVE 8 [get_ports {exp_n_alex[*]}] + +set_property PACKAGE_PIN L15 [get_ports {exp_n_alex[0]}] +set_property PACKAGE_PIN L17 [get_ports {exp_n_alex[1]}] +set_property PACKAGE_PIN J16 [get_ports {exp_n_alex[2]}] +set_property PACKAGE_PIN M15 [get_ports {exp_n_alex[3]}] diff --git a/scripts/project.tcl b/scripts/project.tcl index 4608fb22c..8b6fc0c43 100644 --- a/scripts/project.tcl +++ b/scripts/project.tcl @@ -82,7 +82,7 @@ if {[llength $files] > 0} { add_files -norecurse $files } -set files [glob -nocomplain cfg/*.xdc] +set files [glob -nocomplain cfg/*.xdc projects/$project_name/*.xdc] if {[llength $files] > 0} { add_files -norecurse -fileset constrs_1 $files }