Skip to content

[PMP] Extracted PMP #1408

[PMP] Extracted PMP

[PMP] Extracted PMP #1408

Triggered via pull request October 3, 2024 08:21
@OlivierBetschiOlivierBetschi
synchronize #2476
Status Success
Total duration 1m 17s
Artifacts

verible.yml

on: pull_request_target
Fit to window
Zoom out
Zoom in

Annotations

2 warnings
format: core/load_store_unit.sv#L209
[verible-verilog-format] reported by reviewdog 🐶 Raw Output: core/load_store_unit.sv:209:- logic [ 31:0] mmu_tinst; core/load_store_unit.sv:210:- logic mmu_hs_ld_st_inst; core/load_store_unit.sv:211:- logic mmu_hlvx_inst; core/load_store_unit.sv:212:- exception_t mmu_exception; core/load_store_unit.sv:213:- exception_t pmp_exception; core/load_store_unit.sv:214:- exception_t pmp_misaligned_ex; core/load_store_unit.sv:215:- icache_areq_t pmp_icache_areq_o; core/load_store_unit.sv:216:- logic pmp_data_allow; core/load_store_unit.sv:217:- logic pmp_instr_allow; core/load_store_unit.sv:218:- logic pmp_translation_valid; core/load_store_unit.sv:219:- logic match_any_execute_region; core/load_store_unit.sv:220:- logic dtlb_hit; core/load_store_unit.sv:221:- logic [ CVA6Cfg.PPNW-1:0] dtlb_ppn; core/load_store_unit.sv:222:- core/load_store_unit.sv:223:- logic ld_valid; core/load_store_unit.sv:224:- logic [CVA6Cfg.TRANS_ID_BITS-1:0] ld_trans_id; core/load_store_unit.sv:225:- logic [ CVA6Cfg.XLEN-1:0] ld_result; core/load_store_unit.sv:226:- logic st_valid; core/load_store_unit.sv:227:- logic [CVA6Cfg.TRANS_ID_BITS-1:0] st_trans_id; core/load_store_unit.sv:228:- logic [ CVA6Cfg.XLEN-1:0] st_result; core/load_store_unit.sv:229:- core/load_store_unit.sv:230:- logic [ 11:0] page_offset; core/load_store_unit.sv:231:- logic page_offset_matches; core/load_store_unit.sv:232:- core/load_store_unit.sv:233:- exception_t misaligned_exception; core/load_store_unit.sv:234:- exception_t ld_ex; core/load_store_unit.sv:235:- exception_t st_ex; core/load_store_unit.sv:236:- core/load_store_unit.sv:237:- logic hs_ld_st_inst; core/load_store_unit.sv:238:- logic hlvx_inst; core/load_store_unit.sv:209:+ logic [ 31:0] mmu_tinst; core/load_store_unit.sv:210:+ logic mmu_hs_ld_st_inst; core/load_store_unit.sv:211:+ logic mmu_hlvx_inst; core/load_store_unit.sv:212:+ exception_t mmu_exception; core/load_store_unit.sv:213:+ exception_t pmp_exception; core/load_store_unit.sv:214:+ exception_t pmp_misaligned_ex; core/load_store_unit.sv:215:+ icache_areq_t pmp_icache_areq_o; core/load_store_unit.sv:216:+ logic pmp_data_allow; core/load_store_unit.sv:217:+ logic pmp_instr_allow; core/load_store_unit.sv:218:+ logic pmp_translation_valid; core/load_store_unit.sv:219:+ logic match_any_execute_region; core/load_store_unit.sv:220:+ logic dtlb_hit; core/load_store_unit.sv:221:+ logic [ CVA6Cfg.PPNW-1:0] dtlb_ppn; core/load_store_unit.sv:222:+ core/load_store_unit.sv:223:+ logic ld_valid; core/load_store_unit.sv:224:+ logic [CVA6Cfg.TRANS_ID_BITS-1:0] ld_trans_id; core/load_store_unit.sv:225:+ logic [ CVA6Cfg.XLEN-1:0] ld_result; core/load_store_unit.sv:226:+ logic st_valid; core/load_store_unit.sv:227:+ logic [CVA6Cfg.TRANS_ID_BITS-1:0] st_trans_id; core/load_store_unit.sv:228:+ logic [ CVA6Cfg.XLEN-1:0
format
The following actions use a deprecated Node.js version and will be forced to run on node20: actions/checkout@v3. For more info: https://github.blog/changelog/2024-03-07-github-actions-all-actions-will-run-on-node20-instead-of-node16-by-default/