Skip to content

Commit

Permalink
move project specific constraints to projects/*/*.xdc
Browse files Browse the repository at this point in the history
  • Loading branch information
pavel-demin committed Sep 25, 2017
1 parent 293bcce commit 6f18184
Show file tree
Hide file tree
Showing 5 changed files with 24 additions and 18 deletions.
17 changes: 0 additions & 17 deletions cfg/ports.xdc
Original file line number Diff line number Diff line change
Expand Up @@ -150,8 +150,6 @@ set_property SLEW FAST [get_ports {exp_p_tri_io[*]}]
set_property SLEW FAST [get_ports {exp_n_tri_io[*]}]
set_property DRIVE 8 [get_ports {exp_p_tri_io[*]}]
set_property DRIVE 8 [get_ports {exp_n_tri_io[*]}]
# set_property PULLTYPE PULLUP [get_ports {exp_p_tri_io[*]}]
set_property PULLTYPE PULLUP [get_ports {exp_n_tri_io[*]}]

set_property PACKAGE_PIN G17 [get_ports {exp_p_tri_io[0]}]
set_property PACKAGE_PIN G18 [get_ports {exp_n_tri_io[0]}]
Expand All @@ -170,21 +168,6 @@ set_property PACKAGE_PIN J16 [get_ports {exp_n_tri_io[6]}]
set_property PACKAGE_PIN M14 [get_ports {exp_p_tri_io[7]}]
set_property PACKAGE_PIN M15 [get_ports {exp_n_tri_io[7]}]

set_property IOSTANDARD LVCMOS33 [get_ports exp_p_trg]
set_property SLEW FAST [get_ports exp_p_trg]
set_property DRIVE 8 [get_ports exp_p_trg]

set_property PACKAGE_PIN M14 [get_ports exp_p_trg]

set_property IOSTANDARD LVCMOS33 [get_ports {exp_n_alex[*]}]
set_property SLEW FAST [get_ports {exp_n_alex[*]}]
set_property DRIVE 8 [get_ports {exp_n_alex[*]}]

set_property PACKAGE_PIN L15 [get_ports {exp_n_alex[0]}]
set_property PACKAGE_PIN L17 [get_ports {exp_n_alex[1]}]
set_property PACKAGE_PIN J16 [get_ports {exp_n_alex[2]}]
set_property PACKAGE_PIN M15 [get_ports {exp_n_alex[3]}]

### SATA connector

set_property IOSTANDARD DIFF_HSTL_I_18 [get_ports daisy_p_o[*]]
Expand Down
5 changes: 5 additions & 0 deletions projects/radar_no_filter/ports.xdc
Original file line number Diff line number Diff line change
@@ -0,0 +1,5 @@
set_property IOSTANDARD LVCMOS33 [get_ports exp_p_trg]
set_property SLEW FAST [get_ports exp_p_trg]
set_property DRIVE 8 [get_ports exp_p_trg]

set_property PACKAGE_PIN M14 [get_ports exp_p_trg]
5 changes: 5 additions & 0 deletions projects/radar_test/ports.xdc
Original file line number Diff line number Diff line change
@@ -0,0 +1,5 @@
set_property IOSTANDARD LVCMOS33 [get_ports exp_p_trg]
set_property SLEW FAST [get_ports exp_p_trg]
set_property DRIVE 8 [get_ports exp_p_trg]

set_property PACKAGE_PIN M14 [get_ports exp_p_trg]
13 changes: 13 additions & 0 deletions projects/sdr_transceiver_hpsdr/ports.xdc
Original file line number Diff line number Diff line change
@@ -0,0 +1,13 @@
set_property PULLTYPE PULLUP [get_ports {exp_n_tri_io[0]}]
set_property PULLTYPE PULLUP [get_ports {exp_n_tri_io[1]}]
set_property PULLTYPE PULLUP [get_ports {exp_n_tri_io[2]}]
set_property PULLTYPE PULLUP [get_ports {exp_n_tri_io[3]}]

set_property IOSTANDARD LVCMOS33 [get_ports {exp_n_alex[*]}]
set_property SLEW FAST [get_ports {exp_n_alex[*]}]
set_property DRIVE 8 [get_ports {exp_n_alex[*]}]

set_property PACKAGE_PIN L15 [get_ports {exp_n_alex[0]}]
set_property PACKAGE_PIN L17 [get_ports {exp_n_alex[1]}]
set_property PACKAGE_PIN J16 [get_ports {exp_n_alex[2]}]
set_property PACKAGE_PIN M15 [get_ports {exp_n_alex[3]}]
2 changes: 1 addition & 1 deletion scripts/project.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -82,7 +82,7 @@ if {[llength $files] > 0} {
add_files -norecurse $files
}

set files [glob -nocomplain cfg/*.xdc]
set files [glob -nocomplain cfg/*.xdc projects/$project_name/*.xdc]
if {[llength $files] > 0} {
add_files -norecurse -fileset constrs_1 $files
}
Expand Down

0 comments on commit 6f18184

Please sign in to comment.