Skip to content

20230705

Compare
Choose a tag to compare
@pavel-demin pavel-demin released this 05 Jul 16:43
· 128 commits to master since this release
  • improved performance of AXI communications by replacing AXI interconnect with AXI hub (#1040, #1060)
  • fixed scanner (#1086)
  • added new control program for Multichannel Pulse Height Analyzer
  • added playground and Jupyter notebooks
  • added pre-built Vivado projects for playground and template
  • added AXI4-Stream FIFO IP core to work around AXI4-Stream Data FIFO issues
  • added DSP48 IP core to work around DSP macro issues
  • removed embedded SDR transceiver
  • simplified structure of the cores directory
  • switched to Vitis 2023.1
  • switched to Linux 6.1
  • switched to Alpine Linux 3.18